k0b0's record.

Computer Engineering, Arts and Books

2018-02-16から1日間の記事一覧

Introduction to SystemVerilog. About blocking assignment and non-blocking assignment

ブロッキング代入とノンブロッキング代入 SystemVerilogにおいてのブロッキング代入、ノンブロッキング代入(並列信号代入)についてメモ。 ブロッキング代入 一つの代入処理が終了するまで次の処理を行わない(ブロックされる)。記述の順番が回路の動作に…