k0b0's record.

Computer Engineering, Arts and Books

2018-03-01から1ヶ月間の記事一覧

Introduction to SystemVerilog. Simple test bench template

Simple test bench template Make a note of a simple test bench template. Simple test bench templete(SampleOfTb.sv) /** * SampleOfTb.sv */ **/ `timescale 1ps/1ps module SampleOfTb; /* Define Clock cycle */ parameter CYCLE = 10; /* Define the…

Introduction to SystemVerilog. Nbit NAND Gate by generate, for loop

Nbit NAND Gate by generate, for loop Describe N bit NAND gate with generate and for loop. Sample code(nandN.sv) /* nandN.sv */ module nandN #(parameter width = 8) (input logic [width-1:0] a, output logic y); genvar i; logic [width-1:0] x; …

Professors Hennessy and Professor Patterson received the Turing Award.

PIONEERS OF MODERN COMPUTER ARCHITECTURE RECEIVE ACM A.M. TURING AWARD Pioneers in computer architecture, Professor Hennessy and Professor Patterson received the Turing Award. In my school days I was impressed with the textbooks written by…

Introduction to Python. Use shell command in python

Use shell command(unix or linux) in python To execute shell code in python, use subprocess.check_call (). An example of description is shown below. Sample code (Example of executing 'ls' command) # testOfShellcommand.py import subprocess a…

What I Talk About When I Talk About Running (by Haruki Murakami)

This book explains philosophically about running from the viewpoint of the author. That philosophy is stoic and humorous, and I feel like running somehow. Throughout, this book explains the importance of repeating a simple operation. Every…

LibreCores Free and Open Source Digital Hardware

Librecores is an open source hardware community. If you are interested in digital circuit design and computer architecture, try browsing the web site!LibreCores · Free and Open Source Digital Hardware Free and Open Source Silicon Foundatio…

Verilog/SystemVerilog $display

About $display Note about Verilog/SystemVerilog system task $display. Format of $display $display("Format", Argument0,Argument1...) Sample code /* test_display.sv */module test_display(); logic[15:0] x = 16'hffff; initial begin display(x);…

ルビィのぼうけん コンピュータの国のルビィ(リンダ・リウカス(著))

コンピュータの中ってどうなっているの? 最近、児童向けのテクノロジ本をよく見かける。本書もその中の一冊だ。 本書は主人公ルビィがコンピュータの内部に入り込み、論理回路さんやCPU/GPUさん、OSさんに出会い話を聞いて、いなくなってしまったマウスポイ…

ISSCC 2018: MIT’s low-power hardware crypto RISC-V IoT processor

MIT announced RISC-V based IoT processor at ISSCC 2018. This research focuses on energy consumption of DTLS software implementation. Details are on the following page.fuse.wikichip.org

Verilog/SystemVerilog task文

Verilog/SystemVerilogのtask文 Verilog/SystemVerilogのtask文についてメモ。 task文の書式 タスクの定義 書式(1) task タスク名; 入出力ポートの宣言 信号の宣言 処理 ... endtask タスクの定義 書式(2) >|verilog| task タスク名 (入出力ポート,...,入出…

Specification of Raspberry Pi 3 Model B+

Recently, Raspberry Pi 3 Model B + was released. Its performance is high compared with the previous version, the heat countermeasure also improved.Specifications of Raspberry Pi3 Model B + are described on the following site. www.raspberry…

教養としてのテクノロジー(伊藤 穰一 (著), アンドレー・ウール (著))

本書は「AI」、「仮想通貨」、「ブロックテェーン」を軸として「労働」、「教育」、「国家」、「社会」がどのように変化するかを考察している。著者の伊藤穰一氏(MITメディアラボ所長)はMITという学府からの視点でこれらのことを考察しており、その考察は…

Computer Organization and Design RISC-V Edition

This book is perfect for introducing RISC-V. It explains widely from RISC-V instruction set to processor design. I read this book and I was interested in simple RISC-V design. (Also, the quotation at the beginning of each chapter is also g…

Ruby developer Matz's new language Streem

Recently, I learned that Ruby developer Matz is developing a programming language called Streem. Streem is a language specialized for stream processing. I think people like sed and awk will like this language. I like stream processing, so …

Introduction to Python. FIzzBizz

FizzBizz Describe FizzBizz in Python. Description example of FizzBizz(1 to 15 FizzBizz) # fizzbizz.py def fizzbizz(n): for i in range(1,n+1): if ((i % 3 == 0) and (i % 5 == 0)): print('FizzBizz') elif (i % 3 == 0): print('Fizz') elif (i …

WikiChip

WikiChip is a website on semiconductor and computer engineering. Information on computer chips is detailed in this site. If you are interested in semiconductors and computer chips, please check this site. WikiChiptwitter.com

Python入門 例外処理

例外処理 pythonの例外処理についてメモ。 書式 try: 処理 except [expression]: # In case of [expression] 処理 except: # For other exceptions 処理 else: # If no exception occurs 処理 finally: # A block that always runs. 処理 例外処理の記述例(t…

筋トレが最強のソリューションである (Testosterone(テストステロン) (著))

本棚から愉快な本を見つけてしまった。 大真面目に筋トレと筋トレの哲学を語り尽くした本である。 ページをめくるごとに筋トレに付随した格言とその哲学が展開されている。格言を読むと「うまいこと言うなぁ。」とクスリと笑ってしまう。けれど、その哲学は…

Think Different.

ボブ・ディランの曲を聞いていたら、appleの「Think Different.」というCMのことを思い出した(多分、CMの中にボブ・ディランが登場するからだろう。)。アップルCM「Think Different.」(声:スティーブ・ジョブズ)[日本語字幕] - YouTube クレージーな…

RISC-V Instruction encoding(Opcode, Funct3, Funct6/7)

Instruction encoding of RISC-V I note Opcode, Funct 3, Funct 6/7 of each instruction type of RISC - V. Format Instruction Opcode Funct3 Funct6/7 R-type add 0110011 000 0000000 sub 0110011 000 0100000 sll 0110011 001 0000000 xor 0110011 100…

ヘッセの言葉

ヘルマン・ヘッセの作品の多くはヘッセ自身を投影した人物が登場するように思う。 自分自身と向き合った結果が作品として昇華されており、作品を重ねるごとに登場人物の精神性が成熟しているように見える。 そんなヘルマン・ヘッセの人生をかけた長きにわた…