k0b0's record.

Computer Engineering, Arts and Books

2019-05-08から1日間の記事一覧

SystemVerilogでチャタリング除去回路を記述してみる。

チャタリング除去回路を記述してみる FPGAボードを使っているとスイッチをよく使う。 スイッチを押すとノイズ(チャタリング)が起こる。 というわけで、色々と参考にしてチャタリング除去回路を書いたのでメモしておく。 チャタリング除去回路(chatter.sv…