k0b0's record.

Computer Engineering, Arts and Books

Icarus Verilog の使い方(on MacOS)

インストール方法

以下のコマンドを実行してインストールする。

(注:Homebrew をインストールしていない場合は先にHomebrewをインストールする。)

$ brew install icarus-verilog

 コンパイル

以下のコマンドよりVerilogHDLファイル(.v)をコンパイル

$ iverilog -o 出力ファイル名 -s トップモジュール名 入力ファイル名

 シミュレーションの実行

以下のコマンドよりシミュレーションを実行

$ vvp コンパイルで出力したファイル

 シミュレーションを実行するとシミュレーション波形情報ファイル(.vcd)が出力される。