k0b0's record.

Computer Engineering, Arts and Books

ModelSimをコマンドラインで実行する方法

 MoselSimをコマンドラインで実行する方法をメモ。

1, vlib : 作業ディレクトリを作成

$ vlib dir

2, vmap : プロジェクト名と作業ディレクトリを関連づける

$ vmap prj dir_path

3, vlog : ソースコードコンパイル

$ vlog test1.v test2.v -f file_list -work prj -incr +incdir+include_dir -v lib_file -libext+.v -y lib_dir
オプション 説明
-f file_list コンパイルするファイルの相対パスを指定
-work prj プロジェクト名
-incr 変更のあったファイルのみコンパイル
+incdir+include_dir `includeで読み込むファイルがあるディレクトリを指定
-v lib_file ライブラリファイル
-libext+.v ライブラリディレクトリ内のコンパイルするファイルを拡張子で指定
-y lib_dir ライブラリディレクト

4, シミュレーションを実行

$ vsim test_top  -lib prj  -do "run -all;quit"
オプション 説明
test_top テストベンチトップ
-lib prj プロジェクト名
-do "run -all;quit" 指定されたサイクルまでシミュレーションを終了