k0b0's record.

Computer Engineering, Arts and Books

Quartus PrimeでMIF(Memory Initialization File)を作成してみる。

MIF(Memory Initialization File)を作成してみる。

 Quartus PrimeのIPcatalogから生成するメモリモジュールに初期値を設定するために、MIFを作成する。

[File] -> [New]よりMemory Initialization Fileを選択する。
f:id:k0b0:20190614162708j:plain

メモリのワード数とワード幅を設定する。
f:id:k0b0:20190614162723j:plain

初期値を10進数で設定する。
初期値の設定が完了したら、[File] -> [Save]で作成したMIFを保存する。
f:id:k0b0:20190614162736j:plain