k0b0's record.

Computer Engineering, Arts and Books

Icarus Verilog の引数オプション

Icarus Verilog(iverilog)の引数オプションをメモしておく。

書式

iverilog [-ESVv] [-Cpath] [-ccmdfile] [-g1|-g2|-g3.0] [-Dmacro[=defn]] [-pflag=value] [-Iincludedir] [-mmodule] [-Mfile] [-Nfile] [-ooutputfilename] [-stopmodule] [-ttype] [-Tmin/typ/max] [-Wclass] [-ypath] sourcefile

Icarus Verilogの引数オプション

オプション 説明
-B verilogファイルの前処理またはコンパイルするために、外部のプログラムを利用する
-C file verilogファイルのリストを指定する
-C path

ドライバ設定ファイルを選択する

通常は/usr/lib/ivl/iverilog.conf が選択されている

-D macro

マクロ macro を「1」で定義する

これは、verilogファイル中のifdef条件で利用される

-D macro=defn マクロをdefnで定義する
-E コンパイルをせずに前処理だけを行う

-g1, -g2,

-g3.0

Verilogのバージョンを選択する

IEEE1364-1995(1)、IEEE1364-2001(2) 、SystemVerilog 3.0(3.0)

-Iincludedir インクルードファイルがあるディレクトリを指定する
-M path コンパイルするために必要なファイルをパスで指定する
-m module ロードするVPIモジュールを指定する
-N path コンパイラのデバッグに使用される
-o filename 出力ファイル名を指定する
-p flag=value ターゲットの特定のフラグflagにvalueを指定する
-S 論理合成する
-s topmodule 最上階層のモジュールを指定する
-t target

出力ファイルの種類を指定する

(出力ファイルの種類は出力フォーマット参照)

-v メッセージをより多く出力する
-V コンパイラのバージョンを表示する
-W class 警告のクラスを指定する
-y libdir ライブラリモジュールのパスを追加する

 

出力フォーマット

iverilogは以下の出力ファイルの形式が指定可能である。意図するターゲット(target)を選択するために -t targetオプションを使用する。

target 説明
null 出力しない(verilog ファイルの書式をチェックするときに役立つ)
vvp 標準の出力ファイル。vvp シミュレータでシミュレーションするための形式
xnf Xilinx ネットリストフォーマット
fpga EDIF ネットリストフォーマット

 

参考

iverilog.icarus.com

Icarus Verilogの使い方