About $display
Note about Verilog/SystemVerilog system task $display.
Format of $display
$display("Format", Argument0,Argument1...)
Sample code
/* test_display.sv */
module test_display(); logic[15:0] x = 16'hffff; initial begin display(x); end task display(logic [15:0] x); begin $display("########################"); $display("x(Binary) = %b", x); $display("x(Hex) = %h", x); $display("x(Decimal) = %d", x); $display("########################"); end endtask endmodule
Execution result
######################## # x(Binary) = 1111111111111111 # x(Hex) = ffff # x(Decimal) = 65535 ########################