k0b0's record.

Computer Engineering, Arts and Books

2018-01-01から1年間の記事一覧

喜嶋先生の静かな世界 The Silent World of Dr.Kishima

研究(学問)をするということはどういうことなのか? 一度読んだ小説をもう一度読み返すことは少ないのだが、この本だけはたまに読み返したくなる。学問をすることの深さと、一つのことを突き詰めた研究者の純粋さが描かれた静かで美しい小説である。以下、…

John Backus先生のフォン・ノイマンボトルネックについての考察

フォン・ノイマンボトルネック、どうやって解消する? 1978年のJohn Backus先生のチューリング賞講演についての記事。ノイマン型/非ノイマン型アーキテクチャ、プログラミングパラダイムといったキーワードに関心のある人は興味深い文章かも。www.sigarch.org

Lifelong Kindergarten

子供の頃には確かにあった、何者にも囚われない自由で純粋な好奇心はまだあるか? このような問いを自分に問いたくなる本書は、プログラミング言語「Scratch(スクラッチ)」の開発者であるMITのミッチェル・レズニック先生の著書。子供は本当に何者に囚われな…

Computational Thinking (計算論的思考)

なぜ、リテラシーとしてコンピュータサイエンスを学ぶのか? このことについてJeannette M. Wing氏が「Computational Thinking」という文章を書いていた。その日本語訳を見つけたのでメモしておく。以下は本文の引用である。勉強になりますなぁ。 コンピュー…

TensolFlow on MacOS

TensolFlowをMacOSにインストールしてみる 手順 Xcode Command Line Toolsのインストール Homebrewのインストール pyenv-virtualenvのインストール TensorFlowのPython環境を構築 pipのインストール TensorFlowのインストール 1, Xcode Command Line Toolsの…

SMT(Simultaneous Multithreading)を開発したコンピュータアーキテクト スーザン・エガース博士

SMTの開発者 スーザン・エガース博士がエッカート・モークリー賞を受賞 コンピュータアーキテクチャを勉強していると、細粒度/粗粒度スレッディング、同時マルチスレッディングと言った、TLP(Thread-Level Parallelism)を追求するためのマルチスレッディン…

デザインオートメーション会議(DAC)のRISC-Vエコシステムの議事録

第54回デザインオートメーション会議で行われたRISC-Vエコシステムの議事録(スライド)を見つけた。 なかなか、勉強になりますなぁ。詳細は以下を参照。riscv.org

それがぼくには楽しかったから by リーナス・トーバルズ

Linuxの革命はフィンランドの大学院生の部屋から始まった あることがキッカケでこの本の事を思い出した。 久しぶりに本棚から取り出してページをパラパラとめくって見ると、なんだか微笑ましくなる。学生時代に初めてUNIX/Linuxの環境(シェル、パイプ、sed&…

libstdc++.so.6が見つからない。[Ubuntu16.04 LTS]

libstdc++.so.6: cannot open shared object file: No such file or directory Ubuntuにツールをインストールして動作確認していたらlibstdc++.so.6がないと怒られた。 それで、libstdc++.so.6の有無を確認してみたら、ちゃんとインストトールされている。 …

Spectre / Meltdownを防ぐSafeSpecマイクロアーキテクチャ

Spectre / Meltdownを防ぐSafeSpecマイクロアーキテクチャ Spectre / Meltdownを防ぐSafeSpecマイクロアーキテクチャに関する論文が発表されていた。 このマイクロアーキテクチャでは、SpectreやMeltdownのサイドチャネル攻撃に対して、L1命令やデータキャッ…

IKIGAI

I understand the essence of IKIGAI which I have not noticed before. Japanese casually use the word of IKIGAI. When asking "Are you doing hard work?", Some people answer with a smile "This is my IKIGAI." This book draws on the essence of IK…

Intel's x86 architecture 40th anniversary : Intel announced the 5 Ghz processor core i7-8086K.

Intel announced the 5 Ghz processor core i7-8086K. Intel announced to announce the Limited Edition 8th Generation Core i7-8086K processor to commemorate the 40th anniversary of the x86 architecture.Details are on the following page.fuse.wi…

RISC-V IDE : SmartVision

SmartVision This looks convenient. Main IDE functions. an API to describe behavioral models of new components advanced debug features with a breakpoint composer for complex behavior analysis a versatile solution for embedded software debug…

シンギュラリティとサイバー、サイバーパンク、サイバネティクス

最近、シンギュラリティ関係のSF作品が多く目に止まる(トランセンデンス、エクス・マキナ、イヴの時間、etc.)。 それで、少し、ヴァーナー・ヴィンジ、レイ・カーツワイルのシンギュラリティについて考えていたら「サイバー」という単語が頭に浮かんだ。「…

Casex and Casez of SystemVerilog are synthesizable or not?

The synthesis result of Casex and Casez is the same. Details are on the following page. Are Casex and Casez are synthesizable or not? - Quora

At university.

Today, I went to the University (alma mater). I met with a professor (laboratory boss). We talked about classmates and lectures at the time. I felt nostalgic about my school days. And I remembered my old friends.It was a good day.

謙虚なるプログラマ:エドガー・ダイクストラ博士

ダイクストラ法、構造化プログラミング、セマフォ、etc. ダイクストラ法、構造化プログラミング、セマフォ等を考案した偉大な計算機科学者、エドガー・ダイクストラ博士。 1972年にACMチューリング賞を受賞した際の受賞講演(日本語訳)を見つけたのでメモし…

Interview with Dave Patterson and John Hennessy on Recode Decode

Interview with Dave Patterson and John Hennessy on Recode Decode Details are on the following page.www.recode.net

Introduction to Python. System programming [Process directory]

System programming "Process directory with python." Sample program to process the directory ### Sample program to process the directory. import os import glob import shutil # Create a directory. if (not(os.path.exists('poems'))): print('os…

新たなCPUの脆弱性:Variant 4およびVariant 3aについて

新たなCPUの脆弱性であるVariant 4およびVariant 3aが見つかった 大手半導体メーカは対応に迫られている模様。 詳細は以下のページにて。forest.watch.impress.co.jp developer.arm.com msyksphinz.hatenablog.com wired.jp

RISC-V Barcelona Workshop videos : RISC V ISA & Foundation Overview

The following is a RISC-V Barcelona workshop videos. If you are interested in RISC-V, please look.1 RISC V ISA & Foundation Overview - YouTube

Which is the best book and website to study verilogHDL coding ?

Which is the best book and website to study verilogHDL coding ? https://www.quora.com/Which-is-the-best-book-to-study-verilog-coding book Verilog HDL (paperback)作者: Samir Palnitkar出版社/メーカー: Prentice Hall発売日: 2003/02/21メディア:…

"sudo dpkg --configure -a"実行時のエラー : ”dpkg: エラー: ファイル '/var/lib/dpkg/updates/0006' を解析。0 行目付近: フィールド名 `#padding' に改行”

dpkg: エラー: ファイル '/var/lib/dpkg/updates/0006' を解析。0 行目付近: フィールド名 `#padding' に改行 "sudo dpkg --configure -a"を実行した際にこのエラーにはまったのでメモしておく。 解決方法 update中に使われる一時ファイルが残留しているよう…

RISC-Vの日本語訳マニュアル

webにてRISC-Vの日本語訳マニュアルを発見したのでメモしておく。 (英語が苦手な私としては大変助かる。翻訳してくれた方に感謝です。)github.com

HiFive-Unleashed Expansion Board Opens Door for RISC-V PCs

Build a PC with RISC-V Microsemi released HiFive Unleashed Expansion Board. This board has the potential to build a personal computer based on the RISC-V processor.For details, see the following page.www.designnews.com

Try RISC-V ISS (Instruction Set Simulator)(Compiling and assembling and dumping of RISC-V programs)

Compile and execute the program with RISC-V ISS. Compile, assemble, and dump programs using RISC-V ISS. The target program to be compiled #include<stdio.h> int main() { printf("Hello World\n"); return 0; } Compiling the program. riscv64-unknown-elf</stdio.h>…

RISC-Vによるチップ設計のオープンソース化

RISC-Vについて以下の項目で完結にまとめられている記事を見つけたのでメモしておく。 開発における「共通言語」となるアーキテクチャ Spectreのような問題を、チップメーカーが協力して解決できるようになる可能性がある。 独自チップの需要は拡大 RISC-Vを…

思うは招く:「どーせむり」に対して「だったらこうしてみれば?」 by 植松努さん

思うは招く。 本当に何度見ても感動するスピーチである。 「こんなことをしたいのだけれど!」と言う質問に対して「どーせむり。」ではなく「だったらこうしてみたら?」と答えることが人間が幸福につながる条件の一つのように思う。 植松氏の子供の頃に祖母…

Introduction to Python. System programming [Process files]

System programming "Process files with python." List of functions to use Function name Description open() Open the file. exists() Check the existence of the file. isfile() It checks whether the argument is a file or not. isdir() It checks …

Before the Flood

This documentary movie is very good. This documentary details the environmental problems caused by greenhouse gases such as carbon dioxide and methane. After watching this movie, we will notice the need to review our daily lives.Before the…