k0b0's record.

Computer Engineering, Arts and Books

SystemVerilogで符号拡張を記述してみる

符号拡張

 ビット連結を利用して、符号拡張を記述してみる。

ビット連結の記述例

// 8bitのデータを二つ連結して16bitの信号に代入
data_y[15:0] = {data_x_upper[7:0], data_x_lower[7:0]}; 

符号拡張の記述例

// 上位8bitを符号拡張して16bitの信号に代入
data_y[15:0] = {{8{data_x[7]}, data_x[7:0]};